VHDL中的子程序包含函数和过程两种,下列关于VHDL中的函数和过程的叙述错误的是( ) 。

admin2021-01-07  24

问题 VHDL中的子程序包含函数和过程两种,下列关于VHDL中的函数和过程的叙述错误的是( )  。

选项 A、函数内部的描述语句不能对函数体外定义的信号或变量赋值
B、函数是不可综合的
C、过程中的变量需要在每次调用时初始化
D、过程语句体中的顺序描述语句可以对过程语句外的信号赋值

答案B

解析 本题考查逻辑设计语言VHDL的基础知识。
    在VHDL语言中,函数和过程统称为子程序。子程序与进程的相同点是:内部包含的都是顺序描述代码,使用相同的顺序描述语句,比如if,case和loop。不同点是:进程可以直接在主代码中调用,子程序一般在建库的时候使用,同时子程序中不能使用wait语句。
    一个函数就是一段顺序描述的代码。对于一些经常遇到的具有共性的设计问题都可以使用函数来实现。由于在每次调用函数时,都要首先对其进行初始化,即一次执行结束后再调用需要再次初始化,因此在函数中禁止进行信号声明和元件实例化,函数是可以被综合的。函数中使用return来进行返回,一次只能返回一个值返回值的类型由retum后面的数据类型指定。
    过程可以具有多个输入、输出、双向模式的参数,可以是信号、变量和常量。对于输入模式的参数,默认为常量,对于输出和双向模式的参数,默认为变量。过程中的变量需要在每次调用时候进行处理后,同时其可以对外部的信号进行赋值。
转载请注明原文地址:https://kaotiyun.com/show/g3WZ777K
0

相关试题推荐
最新回复(0)