(2010年)D触发器的应用电路如图8—77所示,设输出端Q的初值为0,那么在时钟脉冲CP的作用下,输出Q为( )。

admin2014-08-29  23

问题 (2010年)D触发器的应用电路如图8—77所示,设输出端Q的初值为0,那么在时钟脉冲CP的作用下,输出Q为(    )。

选项 A、1
B、cp
C、脉冲信号,频率为时钟脉冲频率的1/2
D、0

答案D

解析 该电路为D触发器,Qn+1=Dn,因此,在时钟脉冲cp的作用下输出端Q的状态保持为0。
转载请注明原文地址:https://kaotiyun.com/show/TFtf777K
0

最新回复(0)